Part Number Hot Search : 
1534I 108M000 EL333ET SD220 BP5021 1N4749 HXO1741B KF200
Product Description
Full Text Search
  v 28.5 Datasheet PDF File

For v 28.5 Found Datasheets File :: 148981    Search Time::3.656ms    
Page :: | <1> | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 |   

    Semtech, Corp.
SEMTECH[Semtech Corporation]
Part No. MP55C-5-2.8 MP55C MP55C-3.3-2.5 MP55C-3.3-2.7 MP55C-3.3-2.8 MP55C-5-2.5
OCR Text ...5C-3.3-2.7 MP55C-3.3-2.5 Input (v) 5.0 5.0 3.3 3.3 3.3 Output (v) 2.8 2.5 2.8 2.7 2.5 APPLICATIONS: * Pentium(R) Processor P55C, Cyrix 6x86 and AMD5K86 power supplies ELECTRICAL CHARACTERISTICS PARAMETER Output voltage (1) 2.8v vers...
Description 1-OUTPUT DC-DC REG PWR SUPPLY MODULE
PENTIUM PROCESSOR P55C vOLTAGE REGULATOR MODULE 英特尔奔腾处理器P55C稳压器模

File Size 22.52K  /  3 Page

View it Online

Download Datasheet





    Cypress
Part No. GvT71256D36B-5 GvT71256D36T-5 GvT71256D36T-4.4 GvT71256D36B-6.7 GvT71256D36T-6 GvT71256D36T-6.7 GvT71256D36TA-4.4 GvT71256D36TA-6 GvT71256D36TA-5 GvT71256D36TA-6.7 GvT71512D18B-4.4 GvT71512D18B-6.7 GvT71512D18T-4.4 GvT71512D18T-6 GvT71512D18T-6.7 GvT71512D18TA-5 GvT71512D18TA-6 GvT71512D18TA-6.7 GvT71512D18B-5 GvT71512D18T-5 GvT71512D18TA-4.4
OCR Text ...s except i/os  clamp diodes to v ss at all inputs and outputs  common data inputs and data outputs  byte write enable and global write c...28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 80 79 78 77 76 75 74 73 72 71 7...
Description 256K x 36 pipelined SRAM, 200MHz
256K x 36 pipelined SRAM, 225MHz
256K x 36 pipelined SRAM, 150MHz
256K x 36 pipelined SRAM, 166MHz
512K x 18 pipelined SRAM, 225MHz
512K x 18 pipelined SRAM, 150MHz
512K x 18 pipelined SRAM, 166MHz
512K x 18 pipelined SRAM, 200MHz

File Size 525.67K  /  27 Page

View it Online

Download Datasheet

    UFE1300-5 UFE2000-9 UFE2000-9-D UFE2000-9-HD UFE11 UFE2000-96S48PHDJ

Emerson Network Power
Artesyn Technologies
Part No. UFE1300-5 UFE2000-9 UFE2000-9-D UFE2000-9-HD UFE11 UFE2000-96S48PHDJ
OCR Text ...iary standby output, 11 v at approximately 2.8 w ? high density up to 22 w/in3 ? high effciency up to 91...28.5 vdc output setpoint accuracy: 0.5% default output voltage: setting 25 oc 48 vo...
Description UFE / UFR Series Up to 6000 Watts

File Size 751.89K  /  6 Page

View it Online

Download Datasheet

    Emerson
Part No. UFE1300-5 UFE2000-9
OCR Text ...xiliary standby output, 11 v at approximately 2.8 w ? high density up to 22 w/in3 ? high effciency up to 91% ? floati...28.5 vdc output setpoint accuracy: 0.5% default output voltage: setting 25 oc 48 vout (acti...
Description AC-DC / Distributed Power Front-End 1U

File Size 802.69K  /  6 Page

View it Online

Download Datasheet

    Analog Devices, Inc.
Part No. AD7367BRUZ-5-RL7
OCR Text ... programmable input ranges 10 v, 5 v, 0 v to +10 v 12 v with +3 v external reference throughput rate: 500 ksps simultaneous conversion ...28 table of contents features .......................................................................
Description True Bipolar Input, 14-Bit, 2-Channel, Simultaneous Sampling SAR ADC; Package: TSSOP; No of Pins: 24; Temperature Range: Industrial 2-CH 14-BIT SUCCESSIvE APPROXIMATION ADC, SERIAL ACCESS, PDSO24

File Size 473.79K  /  28 Page

View it Online

Download Datasheet

    HC1-5504B-5 HC1-5504B-9 HC4P5504B-5 HC4P5504B-9 HC3-5504B-5 HC3-5504B-9 HC-5504 HC-5504B HC9P5504B-5 HC9P5504B-9 HC4PB55

INTERSIL[Intersil Corporation]
Part No. HC1-5504B-5 HC1-5504B-9 HC4P5504B-5 HC4P5504B-9 HC3-5504B-5 HC3-5504B-9 HC-5504 HC-5504B HC9P5504B-5 HC9P5504B-9 HC4PB5504B-9
OCR Text ...- 0.5 100 3 5 mA mA mA mA v A Ring Cycles mA mA 52 HC-5504B Electrical Specifications PARAMETER Ground Key Detection Threshold...28 PIN PLCC 2 24 PIN DIP/SOIC 1 SYMBOL TIP DESCRIPTION An analog input connected to the TIP (more po...
Description ITU Low Cost/ PABX SLIC With 40mA Loop Feed
EIA/ITU PABX SLIC with 40mA Loop Feed

File Size 86.75K  /  9 Page

View it Online

Download Datasheet

    http://
Part No. MH16v7245BWJ-5 MH16v7245BWJ-6
OCR Text ...ditions unit limits min nom max v v v v 3.6 0 vcc+0.3 0.8 3.3 0 3.0 0 2.0 -0.3 parameter supply voltage supply voltage high-level input ...28/jul/`98 hyper page mode 1207959552 - bit ( 16777216 - word by 72 - bit ) dynamic ram mit-ds-0241-...
Description HYPER PAGE MODE 1207959552 - BIT ( 16777216 - WORD BY 72 - BIT ) DYNAMIC RAM

File Size 131.92K  /  22 Page

View it Online

Download Datasheet

    http://
Part No. MH16v645BWJ-5 MH16v645BWJ-6
OCR Text ...ditions unit limits min nom max v v v v 3.6 0 vcc+0.3 0.8 3.3 0 3.0 0 2.0 -0.3 parameter supply voltage supply voltage high-level input ...28/jul/`98 hyper page mode 1073741824 - bit ( 16777216 - word by 64 - bit ) dynamic ram mit-ds-0240-...
Description HYPER PAGE MODE 1073741824 - BIT ( 16777216 - WORD BY 64 - BIT ) DYNAMIC RAM

File Size 130.39K  /  22 Page

View it Online

Download Datasheet

    Mitsubishi Electric, Corp.
http://
Part No. MH16v6445BWJ-5 MH16v6445BWJ-6
OCR Text ...ditions unit limits min nom max v v v v 3.6 0 vcc+0.3 0.8 3.3 0 3.0 0 2.0 -0.3 parameter supply voltage supply voltage high-level input ...28/jul./1998 hyper page mode 1073741824 - bit ( 16777216 - word by 64 - bit ) dynamic ram mit-ds-023...
Description HYPER PAGE MODE 1073741824 - BIT ( 16777216 - WORD BY 64 - BIT ) DYNAMIC RAM 超页模式1073741824 -位(16777216 - Word64 -位)动态随机存储器

File Size 130.41K  /  22 Page

View it Online

Download Datasheet

    http://
Part No. HYS72D128321HBR-5-C HYS72D256320HBR-5-C HYS72D128300HBR-5-C
OCR Text ...(ddr sdram) with a single + 2.5 v ( 0.2 v) power supply and +2.6 ( 0.1 v) power supply for ddr400 ? built with ddr sdrams in fbga 60 pack...28.58 mm (1.1?) 4.00 mm and 133.35 mm 30.48 mm (1.2?) ? standard reference card layout raw card a...
Description 184-Pin Registered Double-Data-Rate SDRAM Module

File Size 1,248.54K  /  39 Page

View it Online

Download Datasheet

For v 28.5 Found Datasheets File :: 148981    Search Time::3.656ms    
Page :: | <1> | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9 | 10 | 11 | 12 | 13 | 14 | 15 |   

▲Up To Search▲

 




Price and Availability




 
Price & Availability of v 28.5

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X
3.2888760566711